Verilog System Task: finish, stop, time, monitor, display

Описание к видео Verilog System Task: finish, stop, time, monitor, display

Системні задачі (System Task) у Verilog.
Задачі керування симуляцією - $finish, $stop
Задачі виводу на екран - $monitor, $display
Системний час при симуляції - $time

Комментарии

Информация по комментариям в разработке