Logo video2dn
  • Сохранить видео с ютуба
  • Категории
    • Музыка
    • Кино и Анимация
    • Автомобили
    • Животные
    • Спорт
    • Путешествия
    • Игры
    • Люди и Блоги
    • Юмор
    • Развлечения
    • Новости и Политика
    • Howto и Стиль
    • Diy своими руками
    • Образование
    • Наука и Технологии
    • Некоммерческие Организации
  • О сайте

Скачать или смотреть PS-06 #05 Управляем светодиодами с помощью кнопок. RTL и GL симуляция 2025 11 02 14 04 35

  • KONTAKT`S
  • 2025-11-02
  • 12
PS-06 #05 Управляем светодиодами с помощью кнопок. RTL и GL симуляция 2025 11 02 14 04 35
  • ok logo

Скачать PS-06 #05 Управляем светодиодами с помощью кнопок. RTL и GL симуляция 2025 11 02 14 04 35 бесплатно в качестве 4к (2к / 1080p)

У нас вы можете скачать бесплатно PS-06 #05 Управляем светодиодами с помощью кнопок. RTL и GL симуляция 2025 11 02 14 04 35 или посмотреть видео с ютуба в максимальном доступном качестве.

Для скачивания выберите вариант из формы ниже:

  • Информация по загрузке:

Cкачать музыку PS-06 #05 Управляем светодиодами с помощью кнопок. RTL и GL симуляция 2025 11 02 14 04 35 бесплатно в формате MP3:

Если иконки загрузки не отобразились, ПОЖАЛУЙСТА, НАЖМИТЕ ЗДЕСЬ или обновите страницу
Если у вас возникли трудности с загрузкой, пожалуйста, свяжитесь с нами по контактам, указанным в нижней части страницы.
Спасибо за использование сервиса video2dn.com

Описание к видео PS-06 #05 Управляем светодиодами с помощью кнопок. RTL и GL симуляция 2025 11 02 14 04 35

http://kontakts.ru/showthread.php/40965

//=============================================================
// Project: PS-06 FPGA (EP4CE6E22C8N)
// Module: key_led
// Function: Нажатая кнопка → количество включённых светодиодов
// Author: Alex M.
// Date: 2025-10-31
//============================================================

module key_led (
input wire [3:0] key, // 4 кнопки (низкоактивные)
output reg [7:0] led // 8 LED (правые не используются)
);

always @(*) begin
case (key)
4'b1110: led = 8'b1000_0001; // key1 → 1 LED
4'b1101: led = 8'b1100_0011; // key2 → 2 LED
4'b1011: led = 8'b1110_0111; // key3 → 3 LED
4'b0111: led = 8'b1111_1111; // key4 → 4 LED
default: led = 8'b1111_1111; // иначе выключить
//default: led = 8'b0000_0000; // иначе включить
endcase
end

endmodule

Комментарии

Информация по комментариям в разработке

Похожие видео

  • О нас
  • Контакты
  • Отказ от ответственности - Disclaimer
  • Условия использования сайта - TOS
  • Политика конфиденциальности

video2dn Copyright © 2023 - 2025

Контакты для правообладателей [email protected]