ALINT PRO™ 6.1 Introduction to CDC Static Analysis

Описание к видео ALINT PRO™ 6.1 Introduction to CDC Static Analysis

Clock Domain Crossing (CDC) Analysis in ALINT-PRO involves static and dynamic verification techniques to ensure reliable cross-domain interactions.

This video goes through each stage of the CDC Analysis flow and how to progress through the stages by correcting ruleset violations.

The tutorial design implements an enable synchronizer, which ALINT-PRO recognizes and verifies that it establishes functional cross-domain interactions.

Timecodes:
0:00 - Intro
2:42 - Initial Project
7:59 - Violation 3.1 fix
10:31 - Clock Constraints
13:09 - Violation 3.6 fix
14:38 - IO Constraints
16:02 - Violation 3.2 fix
17:08 - Reset Constraints
21:22 - Violation 1.10 fix
24:03 - Fixed Reset
26:54 - Violations 1.1 and 1.11 fix
29:34 - Final Project

Комментарии

Информация по комментариям в разработке